Ticket Status

Next-Gen Solutions

Status Table of Support Tickets

Following table shows status of all filed support tickets in a chronological order. Please read description about table at the bottom of this page.


Ticket No. Date Issue Type Issue Description Resolution Status
114-07-2019TechnicalI am not able to use get_randstate() and set_randsatate(no) on any process or object satisfactorily.Pl check next build.closed
217-07-2019Technicalstandard package/ library function randomize() is not working in the atssim. It has following syntax:
int randomize(list_of_vars) with {constraints}
fixed. Check in next buildclosed
319-08-2019TechnicalI am not able to set srandom() in a process using process::self.srandom(100)fixedclosed
414-12-2019TechnicalConsuming too much storage for UVM testcasesfixed: storage is optimized for all datatypes.closed
510-01-2020Techlogic/ reg or bit type consuming more storage in implementation of uvm_regreduced storage size for reg/logic and bitclosed
615-01-2020TechIssue reported related to int datatype storage sizereduced int datatype storageclosed
716-01-2020TechIssue reported for storage consumption by integral type long int, byte etc.reduced storage for integral typesclosed
81-02-2020Techissue related to lexical conversionfixedclosed
911-02-2020Techissued related to static castfixedclosed
1020-02-2020TechIssue related to wait forksolvedclosed
1122-02-2020Techbasic data unit storage is largebasic data unit storage is reduced: solvedclosed
1224-02-2020Techmore memory consumption for UVM testcasereduced memory consumption for UVM testcasesclosed
133-03-2020Techin built function naming convention is creating issuefixed: a special string is added to all internal c++ functions as prefixclosed
1417-04-2020TechNeeded to integrate c++ based verification componentssolved: DPI (Direct Programing Interface) is addedclosed
1522-04-2020TechDPI related issuefixedclosed
161-05-2020LicenseLicense issue is reportedfixedclosed
174-05-2020TechIssue related to Interactive modefixedclosed
1809-05-2020TechCompiled database related issuefixedclosed
1912-05-2020TechIssue related to Compiled database for interactive modesolvedclosed
2010-06-2020TechDataBase saving structure issuefixedclosed
2116-08-2020TechConstrained Randomization related issuefixedclosed
2219-08-2020TechIssue related to virtual function accesssolvedclosed
2328-08-2020TechConstrained Randomization issuefixedclosed
2401-09-2020TechIssue related to multi-dimensional array iterationfixedclosed
2505-09-2020Techmulti-dimensional array issueresolvedclosed
2610-09-2020Techanother issue in iteration of multi-dim arrayresolvedclosed
2726-09-2020TechIssue related to variable and port storage: consuming more storage in simulationresolvedclosed
2829-09-2020Techissue related to storage of various SV construct blocks: consuming more storagefixedclosed
2930-09-2020Techfine grain process object is consuming more storagefixedclosed
3016-11-2020Techissue related to assertions implementationimplemented phase 1closed
3111-12-2020Techassertions implementationimplemented phase 2closed
3218-02-2021Techassertion: sequences and properties implementation issuefixedclosed
3327-03-2021TechVCD file related issue is reportedfixedclosed
3430-05-2021TechGate and Switch level models implementation issueimplementedclosed
3518-06-2021TechIssue related to always_comb blockfixedclosed
3603-07-2021Techalways_comb issuefixedclosed
3720-07-2021TechIssue related to event datatypefixedclosed
3823-09-2021TechIssue of implementation of checkersimplementedclosed
3909-12-2021TechIssue reported related to fine grain process objectfixedclosed
4015-12-2021TechIssue related to disable block and kill of processresolvedclosed
47 2023-09-29 Technical class9.sv has a segmentation fault. for futher detail that what is input and in which alias they b resolved, pl refer issue_sheet xls closed
48 2023-09-29 Technical class11.sv, class13.sv, class29.sv , class36.sv, has a segmentation fault. for futher detail that resolved, pl refer issue_sheet xls for details closed
49 2023-09-29 Technical et_class34.sv is my error testcase it should produce an error but my design is elobrated. please che resolved, pl refer issue_sheet xls for details closed
50 2023-09-29 Technical class15.sv and class16.sv producing a result 0 but they should provide value. for futher details t resolved, pl refer issue_sheet xls for details closed
51 2023-09-29 Technical class9.sv has a segmentation fault. for futher detail that what is input and in which alias they belong will be available to you at my issue sheet. waiting for a faster resolution resolved, pl refer issue_sheet xls for details closed
52 2023-10-04 Technical classes_test_case31.sv Testing chaining constructors Duplicate identifier name is not allowed in a hierarchy resolved, pl refer issue_sheet xls for details closed
56 2023-10-06 Technical error resolved, pl refer issue_sheet xls closed
57 2023-10-06 Technical Error : Duplicate identifier name is not allowed in a hierarchy resolved, pl refer issue_sheet xls closed
58 2023-10-06 Technical Error : Can not find function/ task in the scope A obj =new B(); resolved, pl refer issue_sheet xls closed
59 2023-10-06 Technical Error : segmentation fault (core dumped) resolved, pl refer issue_sheet xls closed
60 2023-10-06 Technical Error : invalid access to var/ obj x through scope resolution resolved, pl refer issue_sheet xls closed
61 2023-10-06 Technical Error : segmentation fault (core dumped) Illegal use of ::my_function() closed
62 2023-10-06 Technical Error : nothing displayed No issue found closed
63 2023-10-06 Technical Error : Illegal use of static lifetime User issue, please declare increase_counter static closed
64 2023-10-06 Technical Error : Unexpected token pure NA closed
65 2023-10-06 Technical Error : Unexpected token endinterface NA closed
72 2023-10-06 Technical class36.sv class57.sv class71.sv interface3.sv has an error fixed closed
88 2023-10-10 Technical Error: Unexpected output No issue found closed
89 2023-10-10 Technical error : Connection to variable is not allowed with inout port a var can not be used as inout port, pl refer erro closed
90 2023-10-10 Technical Error: nothing displayed log2 can not be used in packed size expression, si closed
91 2023-10-10 Technical Error: nothing displayed Syntax issue, signal directions are not declared i closed
92 2023-10-27 Technical file location: /home/harshits/sap_microprogrammed_processor/branches/MCPU8_1_tb.v problem: printing value of CW variable with data type wire, it is not printing its initialized value instead it is printing 000000000000. Unsolved Open
93 2023-10-27 Technical file location: /home/harshits/sap_microprogrammed_processor/branches/MCPU8_1.v problem: while compiling it with MCPU8_1_tb.v (test bench), it is not giving any error, but while compiling it alone it is generating segmentation fault. Unsolved Open
94 2023-10-27 Technical showing segmentation fault first on compliling only the wbuart.v file it shows 4 error because it is having existance of rxuart.v, ufifo.v, txuart.v file this three files is not having any error but on compliling 4 files together there is an error off segmentation fault resolved closed
95 2023-10-30 Technical svn/svnrepo/vaibhav/work/simple_spi/trunk/bench/verilog> segmentation fault while compiling testbench resolved closed
96 2023-11-07 Technical generate1.sv not displaying the result location adityavs/Testcases/generate/generate1.sv $display is not an allowed construct in side gener closed
97 2023-11-07 Technical issue: in MCPU8_1_tb.v it is not displaying values of variables/instances in testbench, instead it is displaying x . resolved closed
99 2023-11-08 Technical In generate23.sv, it should display ascii values of character entered, but it is giving error Duplicate identifier name is not allowed in a hierarchy Syntax error: use byte instead of char and use A i closed
101 2023-11-08 Technical In generate24.sv it should display values from 5 to 1 and 0 at last but it is only display Countdown: 0 at last, $display function is not working inside generate resolved, you need to declare message[6] at var de closed
102 2023-11-08 Technical In generate24.sv it should display values from 5 to 1 and 0 at last, but it is only display Countdown: 0 at last $display function is not working inside generate resolved, you need to declare message[6] at var de closed
103 2023-11-08 Technical In generate24.sv, it should display values from 5 to 1 and 0 at last, but it is only display Countdown: 0at last, $display function is not working inside generate resolved, pl refer ticket no 102 closed
104 2023-11-08 Technical In generate26.sv, $display is not working inside generate bock fixed, pl use parameter in generate if condition closed
105 2023-11-08 Technical in generate27.sv Syntax error may be missing end before this token generate usage issue: can not use generate in always closed
106 2023-11-09 License In generate24.sv it should display values from 5 to 1 and 0 at last but it is only display Countdown: 0 at last, $display function is not working inside generate in the world of world world wolrd world word word fixed previously: refer ticket 102 closed
107 2023-11-09 Technical showing segmentation fault first on compliling only the wbuart.v file it shows 4 error because it is having existance of rxuart.v, ufifo.v, txuart.v file this three files is not having any error but on compliling 4 files together there is an error off segmentation fauls resolved earlier closed
108 2023-11-10 Technical In utility7.sv, function/ task $isunbounded/ is not declared in the scope,$display(data is unbounded: %s, $isunbounded(data) ? true : false); fixed: $isunbounded can be only used with paramete closed
109 2023-11-10 Technical In utility8.sv, nothing is displayed syntax error: $typename is used with var and not w closed
110 2023-11-10 Technical from generate7.sv to generate13.sv it is showing output with x which it should not. make sure using rtl of each testcase it is inside Testcase/generate/rtl and testcases are in Testcase/generate/ resolved closed
111 2023-11-10 Technical in utility9.sv, expected output: 3,4,5 output: 3,0,0. In utility13.sv, expected output: 1, output: -1. in utility14.sv, expected output: 35, output: 5 Output looks correct in all cases: pl discuss furt closed
112 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_2.sv expected output - Result: 10101010 output - Result: xxxxxxxx syntax issue: statement is not a generate item, pl closed
113 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_3.sv expected output:- Output: 00110011 output - error fixed closed
114 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_4.sv expected output - Result: 11110000 output- segmentation fault syntax issue: missing outport data in submodule1 closed
115 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_6.sv expected output- Data[0][0]: 1 Data[0][1]: 0 Data[0][2]: 0 Data[0][3]: 0 Data[1][0]: 0 Data[1][1]: 1 Data[1][2]: 0 Data[1][3]: 0 output- error fixed closed
116 2023-11-10 Technical file location: /home/harshits/svn/svnrepo/harshits/work/generate23.sv: In generate23.sv, it should display ascii values of character entered, but it is giving error Duplicate identifier name is not allowed in a hierarchy. File location: /home/harshits/svn/svnrepo/harshits/work/generate27.sv Syntax error may be missing end before this token generate resolved: refer ticket 99, in generate27.sv - gene closed
117 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_8.sv expected output- Simulation will finish at time 20 output- error resolved: pl declare clk in module closed
118 2023-11-10 Technical file location: /home/harshits/svn/svnrepo/harshits/work/utility7.sv, function/ task $isunbounded/ is not declared in the scope,$display(data is unbounded: %s, $isunbounded(data) ? true : false);. fixed: pl refer ticket 108 closed
119 2023-11-10 Technical /home/harshits/svn/svnrepo/harshits/work/utility8.sv, nothing is displayed using $typename. resolved: pl refer ticket 109 closed
120 2023-11-10 Technical /home/harshits/svn/svnrepo/harshits/work/utility9.sv, expected output: 3,4,5 output: 3,0,0. resolved: pl refer ticket 111 closed
121 2023-11-10 Technical /home/harshits/svn/svnrepo/harshits/work/utility13.sv, expected output: 1, output: -1. in utility14.sv, expected output: 35, output: 5 resolved: pl refer ticket 111 closed
122 2023-11-10 Technical /svn/svnrepo/vaibhav/work> vi generate_10.sv expected output- Full Adder is selected A = 0: B = 1, Cin = 1 --> S = 0, Cout = 1 A = 1: B = 1, Cin = 1 --> S = 1, Cout = 1 A = 1: B = 0, Cin = 1 --> S = 0, Cout = 1 output- Half Adder is selected resolved: No issue found closed
123 2023-11-22 Technical /svn/svnrepo/harshits/work/clocking1.sv> error - cannot find variable my_cb; syntax issue, clocking block itself is an instance closed
124 2023-11-22 Technical /svn/svnrepo/harshits/work/clocking3.sv error - design elaborated but nothing displayed clock is not driven closed
125 2023-11-22 Technical /svn/svnrepo/harshits/work/clocking6.sv error- Syntax error: Expected ; before data default input #1 data = 1b1; syntax error, pl see 14.1, you can use default def closed
126 2023-11-24 Technical /svn/svnrepo/harshits/work/clocking7.sv error- unexpected token constraint syntax error, constraint can be declared in class closed
127 2023-11-24 Technical /home/harshits/svn/svnrepo/harshits/work/clocking8.sv error-Syntax error: missing , , ; or = after inner_cb syntax error, can not use like this refer clocking closed
128 2023-11-24 Technical svn/svnrepo/harshits/work/clocking10.sv error - Unexpected token, may be endclocking is missing before modport syntax error, modport is not a clocking_item, ref closed
129 2023-11-24 Technical svn/svnrepo/harshits/work/clocking11.sv error - Unexpected token, may be endclocking is missing before disable syntax error, disable is not a clocking_item, pl r closed
130 2023-11-24 Technical svn/svnrepo/harshits/work/clocking13.sv error - segmentation fault syntax error, need to define default clocking to u closed
131 2023-11-24 Technical svn/svnrepo/harshits/work/clocking14.sv error - segmentation fault syntax issue, no default clocking declared closed
132 2023-11-24 Technical /svn/svnrepo/harshits/work/clocking16.sv error - unexpected token input syntax error, pl see ERROR: in compilation log closed
133 2023-11-24 Technical /svn/svnrepo/harshits/work/clocking17.sv error - Unexpected token, may be endclocking is missing before event syntax error, pl see ERROR: in compilation log. in closed
134 2023-11-24 Technical svn/svnrepo/harshits/work/clocking19.sv error - can not find variable input_sampling_block syntax error, pl see ERROR: in compilation log. Ca closed
135 2023-11-24 Technical svn/svnrepo/harshits/work/clocking20.sv error - Unexpected token, may be endclocking is missing before event syntax error, pl see ERROR: in compilation log. Pl closed
136 2023-11-24 Technical ../testcase/datatypes/datatypes_string4.sv ../testcase/datatypes/datatypes_string6.sv ../testcase/lexical/lexical_string7.sv ../testcase/operators/operators_replication_operators29.sv syntax error, pl see ERROR: in compilation log. fi closed
137 2023-11-24 Technical clocking1.sv,clocking3.sv,clocking12.sv,clocking13.sv,clocking14.sv has an error location Testcases/clocking/ syntax issues, fixed to show error msg. closed
138 2023-11-28 Technical /svn/svnrepo/harshits/work/clocking21.sv error - variable cb is unidentified cb clk_block(); syntax error, pl see ERROR: in compilation log. Ca closed
139 2023-11-28 Technical /svn/svnrepo/harshits/work/clocking22.sv error - variable cb is unidentified cb clk_block(); syntax error, pl see ERROR: in compilation log. Ca closed
140 2023-11-28 Technical /svn/svnrepo/harshits/work/clocking23.sv error - variable cb is unidentified cb clk_block(); syntax error, pl see ERROR: in compilation log. Ca closed
141 2023-11-28 Technical /svn/svnrepo/harshits/work/clocking24.sv error - variable cb is unidentified cb clk_block(); syntax error, pl see ERROR: in compilation log. Ca closed
142 2023-11-28 Technical /svn/svnrepo/harshits/work/clocking25.sv error - variable cb is unidentified cb clk_block(); syntax error, pl see ERROR: in compilation log. Ca closed
143 2023-12-08 Technical /svn/svnrepo/harshits/work/clocking52.sv - the compiler should generate error if the signal names are different, but it is showing segmentation fault fixed displaying error closed
144 2023-12-12 Technical rand3.sv rand4.sv and rand6.sv has an error of segment fault, stuck and 0 output value. partly syntax issue and partly fixed. closed
145 2023-12-12 Technical /svn/svnrepo/harshits/testcase/random/random22.sv expected output - Randomized Array: {2, 8, 4, 6, 3}, error - unexpected token unique fixed closed
146 2023-12-12 Technical /svn/svnrepo/harshits/testcase/random/random26.sv expected output - Randomized Values: a=3, b=14, c=8 error - constant value cannot be decoded fixed closed
147 2023-12-12 Technical /svn/svnrepo/harshits/testcase/random/random32.sv expected output - Randomized Values: a=8, b=5, c=0 error - segmentation fault fixed closed
148 2023-12-12 Technical /svn/svnrepo/harshits/testcase/random/random36.sv expected output - apple error - nothing displayed syntax issue: string datatype can not be randomize closed
149 2023-12-14 Technical random43.sv error- unexpected token solve. random49.sv error- missing end of the statement random59.sv error- unexpected token solve random61.sv error- segmentation fault random62.sv error- segmentation fault random63.sv error- segmentation fault random68.sv error- cannot find rand_mode() random69.sv error- cannot find constraint_mode() location of files - /svn/svnrepo/harshits/testcase/random random43,sv - can not new a module. random49.sv - closed
150 2023-12-14 Technical errors are there in this programs ../Testcases/consrandomvaluegeneration/rand3.sv ../Testcases/consrandomvaluegeneration/rand4.sv ../Testcases/consrandomvaluegeneration/rand16.sv ../Testcases/consrandomvaluegeneration/rand40.sv ../Testcases/consrandomvaluegeneration/rand41.sv ../Testcases/consrandomvaluegeneration/rand42.sv ../Testcases/consrandomvaluegeneration/rand43.sv ../Testcases/consrandomvaluegeneration/rand44.sv ../Testcases/consrandomvaluegeneration/rand45.sv ../Testcases/consrandomvaluegeneration/rand46.sv ../Testcases/consrandomvaluegeneration/rand50.sv ../Testcases/consrandomvaluegeneration/rand54.sv ../Testcases/consrandomvaluegeneration/rand57.sv syntax issues and some issues are fixed. closed
151 2023-12-15 Technical unexpected token soft in rand83.sv and rand84.sv while solving for soft constraints location inside adityavs/Testcases/consrandomvaluegeneration/ fixed closed
152 2023-12-15 Technical in rand88.sv it is not able to print the value of pkt.addr.rand_mode() and this section core is dumped fixed closed
153 2023-12-15 Technical random81.sv error- undefined variable soft random82.sv error- undefined variable soft random83.sv error- segmentation fault random84.sv error- segmentation fault random85.sv error- error- segmentation fault location of files - svn/svnrepo/harshits/testcase/random/ syntax error, fixed closed
154 2024-01-17 Technical files location :- svn/svnrepo/harshits/testcase/assert/ assert9.sv, assert10.sv - missing end of statement (maybe ;) before throughout resolved: use only one sequence inside sequence..endsequence closed
155 2024-01-31 Technical /svn/svnrepo/testcase/assert/assert10.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert32.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert11.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert33.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert12.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert34.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert13.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert35.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert14.sv error - Unexpected token first_match /svn/svnrepo/testcase/assert/assert25.sv error - Unexpected token repeat /svn/svnrepo/testcase/assert/assert36.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert15.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert26.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert37.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert16.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert27.sv error - missing operator/ punctuation (maybe ; or ,) before my_sequence /svn/svnrepo/testcase/assert/assert38.sv error - missing operator/ punctuation (maybe ; or ,) before prop /svn/svnrepo/testcase/assert/assert17.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert28.sv error - missing end of statement (maybe ;) before and /svn/svnrepo/testcase/assert/assert39.sv error - missing operator/ punctuation (maybe ; or ,) before prop /svn/svnrepo/testcase/assert/assert18.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert19.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert40.sv error - missing end of statement (maybe ;) before else /svn/svnrepo/testcase/assert/assert9.sv error - missing end of statement (maybe ;) before throughou /svn/svnrepo/testcase/assert/assert30.sv error - Unexpected token first_match /svn/svnrepo/testcase/assert/assert20.sv error - missing end of statement (maybe ;) before throughout /svn/svnrepo/testcase/assert/assert31.sv error - Unexpected token throughout resolved closed
156 2024-02-01 Technical file location svn/svnrepo/harshits/testcase/assert79.sv error - missing end of statement (maybe ;) before disable svn/svnrepo/harshits/testcase/assert80.sv error - constant value can not be decoded fixed, syntax error for use of "disable iff" closed
157 2024-02-02 Technical /svn/svnrepo/testcase/assert211.sv error - Can not find clocking event in sequence/ property block case_property /svn/svnrepo/testcase/assert212.sv error - Unexpected token endproperty test211- missing sampling event for property, test212 - syntax error: can not declare a property inside another property closed
158 2024-02-08 Technical /home/harshits/svn/svnrepo/harshits/work/checker6.sv error - user defined system task or fun $inferred_clock is not supported by tool always_ff @(posedge $inferred_clock) begin fixed closed
159 2024-02-08 Technical /home/harshits/svn/svnrepo/harshits/work/checker13.sv error - missing operator/ punctuation (maybe ; or ,) before dc data_checker dc(input_data); can not find variable dc data_checker dc(input_data); syntax issue: a checker can be instantiated wherever a concurrent assertion could be closed
160 2024-02-15 Technical /svn/svnrepo/harshits/testcase/file/file24.sv error - could not read from file example.txt /svn/svnrepo/harshits/testcase/file/file26.sv error - could not read from file example.txt /svn/svnrepo/harshits/testcase/file/file27.sv error - could not read from file example.txt /svn/svnrepo/harshits/testcase/file/file28.sv error - could not read from file example.txt /svn/svnrepo/harshits/testcase/file/file29.sv error - function/ task $fread/ is not declared in the scope /svn/svnrepo/harshits/testcase/file/file30.sv error - function/ task $sscanf/ is not declared in the scope /svn/svnrepo/harshits/testcase/file/file31.sv error - can not find variable SEEK_SET /svn/svnrepo/harshits/testcase/file/file33.sv error - function/ task $fflush/ is not declared in the scope /svn/svnrepo/harshits/testcase/file/file35.sv error - function/ task $feof/ is not declared in the scope /svn/svnrepo/harshits/testcase/file/file36.sv error - function/ task $ferror/ is not declared in the scope /svn/svnrepo/harshits/testcase/memtask7.sv error - function/ task $dumplimit/ is not declared in the scope /svn/svnrepo/harshits/testcase/memtask7.sv error - function/ task $dumpportson/ is not declared in the scope /svn/svnrepo/harshits/testcase/memtask7.sv error - function/ task $dumpportsoff/ is not declared in the scope $sscanf is implemented for 2 vars (3 or more vars TBD), extended VCD tasks are not implemented yet. closed
161 2024-02-19 Technical /svn/svnrepo/vaibhav/testcase/class> class_class41.sv ERROR: Illegal to create object of a virtual class $root.A syntax issue - virtual class object can not be created closed
162 2024-02-19 Technical /svn/svnrepo/vaibhav/testcase/datatypes> datatypes_string6.sv ERROR reading from socket: Connection reset by peer syntax error - can not use reg count_char = i, this is wrong syntax closed
163 2024-02-19 Technical svn/svnrepo/vaibhav/testcase/datatypes datatypes_string4.sv ERROR: missing { - Illegal assignment pattern reg search_char = V syntax error - same as in ticket 162 closed
164 2024-02-21 Technical ../testcase/compiler/compiler10.sv error - variable none is unidentified ../testcase/compiler/compiler14.sv error - Unexpected token pragma ../testcase/compiler/compiler18.sv error - Syntax error - Unexpected token : begin_keywords ../testcase/compiler/compiler4.sv error - Macro expansion phase ERROR: could not find macro : undefall ../testcase/compiler/compiler11.sv error - Unexpected token : unconnected_drive ../testcase/compiler/compiler15.sv error - Unexpected use of $display ../testcase/compiler/compiler1.sv error - Syntax error - Unexpected token : resetall ../testcase/compiler/compiler12.sv error - Unexpected token : nounconnected_drive ../testcase/compiler/compiler13.sv error - Unexpected token : celldefine fixed - except `pragma which is TBD closed
165 2024-02-22 Technical ../testcase/assert/assert14.sv error - Syntax error: Unexpected token first_match ../testcase/assert/assert27.sv error - can not find variable matched ../testcase/assert/assert30.sv error - can not find variable sequence1 ../testcase/assert/assert31.sv error - can not find variable matched ../testcase/assert/assert34.sv error - can not find variable randomize ../testcase/assert/assert35.sv error - can not find variable randomize ../testcase/assert/assert36.sv error - can not find variable randomize ../testcase/assert/assert37.sv error - can not find variable randomize ../testcase/assert/assert79.sv error - missing end of statement (maybe ;) before disable ../testcase/assert/assert80.sv error - Unexpected token: -> ../testcase/assert/assert213.sv error - Can not find clocking event in sequence/ property block cb_with_input. ../testcase/assert/assert214.sv error - function/ task $rose/ is not declared in the scope all issues are resolved closed
166 2024-03-01 Technical ../testcase/cover/cover65.sv error - set in covergroup not implemented ../testcase/cover/cover64.sv error - stuck in infinite loop resolved - cover65.sv - syntax issue can not define bins in cross without using binsof, in cover64.sv - no of bins is too high so taking time, resige a and b like bit [0:3] a, b; it will work fast closed
167 2024-03-04 Technical ../testcase/cover/cover65.sv error - Syntax error: unexpected token ../testcase/cover/cover73.sv error - Segmentation fault (core dumped) ../testcase/cover/cover78.sv error - variable strobe is not defined in option ../testcase/cover/cover79.sv error - variable merge_instances is not defined in option ../testcase/cover/cover80.sv error - variable distribute_first is not defined in option ../testcase/cover/cover84.sv error - function/ task $set_coverage_db_name/ is not declared in the scope ../testcase/cover/cover85.sv error - function/ task $load_coverage_db/ is not declared in the scope syntax errors found. To be re-reported after syntax change closed
168 2024-03-05 Technical ../testcase/gate/gate4.sv error - the pmos gate should give output z when data is 1 and control is 1, but it is giving output 0 ../testcase/gate/gate5.sv error - the pmos gate should give output H(1 or z) when data is 1 and control is x, but it is giving output 0 ../testcase/gate/gate7.sv error - the rpmos gate should give output 0 when data is 0 and control is 0, but it is giving output z ../testcase/gate/gate8.sv error - the rpmos gate should give output 0 when data is 1 and control is 0, but it is giving output z ../testcase/gate/gate10.sv error - the rpmos gate should give output H(1 or z) when data is 1 and control is x, but it is giving output 0 fixed closed
169 2024-03-06 Technical buff logic gate is not working properply for x and z values error in testcase gates22.sv fixed closed
170 2024-03-06 Technical similarly for not gate too. it is showing result o for both x and z in testcase gates23.sv location is adityavs/Testcases/gates/gates23.sv fixed closed
171 2024-03-06 Technical ..testcase/gate/gate24.sv error - the pmos should give output x when data is x and control is 0 but it is giving output z ..testcase/gate/gate28.sv error - the pmos should give output z when data is z and control is x but it is giving output x ..testcase/gate/gate29.sv error - the pmos should give output z when data is z and control is z but it is giving output x ..testcase/gate/gate30.sv error - the rpmos should give output x when data is x and control is 1 but it is giving output x ..testcase/gate/gate36.sv error - the nmos should give output x when data is x and control is 1 but it is giving output z ..testcase/gate/gate40.sv error - the rnmos should give output z when data is z and control is x but it is giving output x ..testcase/gate/gate41.sv error - the rnmos should give output z when data is z and control is z but it is giving output x fixed - pl change input or control signal for gate result to be effective closed
172 2024-03-18 Technical ../testcase/library_config/test1.sv error :- segmentation fault fixed closed
173 2024-03-18 Technical ../testcase/library_config/test2.sv error - segmentation fault ../testcase/library_config/test3.sv error - segmentation fault ../testcase/library_config/test4.sv error - segmentation fault ../testcase/library_config/test5.sv error - segmentation fault ../testcase/library_config/test6.sv error - segmentation fault ../testcase/library_config/test7.sv error - segmentation fault ../testcase/library_config/test8.sv error - segmentation fault ../testcase/library_config/test9.sv error - segmentation fault ../testcase/library_config/test10.sv error - segmentation fault ../testcase/library_config/test11.sv error - segmentation fault ../testcase/library_config/test12.sv error - segmentation fault ../testcase/library_config/test13.sv error - segmentation fault ../testcase/library_config/test14.sv error - segmentation fault fixed closed
174 2024-03-19 Technical ../testcase/review1/lexical/lexical_review9.sv error - it should display 16 times 1, but displaying only single time ../testcase/review1/lexical/lexical_review37.sv error - unexpected use of $display ../testcase/review1/lexical/lexical_review39.sv error - segmentation fault ../testcase/review1/lexical/lexical_review40.sv error - segmentation fault ../testcase/review1/lexical/lexical_review42.sv error - cannot find variable mode ../testcase/review1/lexical/lexical_review43.sv error - cannot find variable no_glitch fixed closed
175 2024-03-20 Technical ../testcase/cover/cover65.sv error - Syntax error: unexpected token ../testcase/cover/cover78.sv error - variable strobe is not defined in option ../testcase/cover/cover79.sv error - variable merge_instances is not defined in option ../testcase/cover/cover80.sv error - variable distribute_first is not defined in option ../testcase/cover/cover84.sv error - function/ task $set_coverage_db_name/ is not declared in the scope ../testcase/cover/cover85.sv error - function/ task $load_coverage_db/ is not declared in the scope cover65.sv - syntax issue - bins one declaration is incorrect. cover78.sv - strobe is not covergroup option. cover79.sv - merge_instances is not a covergroup option. cover80.sv - fixed: distribute_first is a type_option. $set_coverage_db_name and $load_coverage_db is TBD. Open
176 2024-03-20 Technical ../testcase/cover/cover65.sv error - Syntax error: unexpected token : (LRM reference :- 19.6.1.4) ../testcase/cover/cover80.sv error - Syntax error: variable distribute_first is not defined in type_option ../testcase/cover/cover84.sv error - function/ task $set_coverage_db_name/ is not declared in the scope ../testcase/cover/cover85.sv error - function/ task $load_coverage_db/ is not declared in the scope ../testcase/review1/data_types/data_types13.sv error - unexpected output ../testcase/review1/data_types/data_types14.sv error - unexpected token # ../testcase/library_config/test1.sv error - a warning should be generated as no module or entity is declared in test1.sv Unsolved Open
177 2024-03-22 Technical location is ../testcase/review1/datatypes/ and files name are et_typecompatibility1.sv et_typecompatibility2.sv et_typecompatibility6.sv et_typecompatibility8.sv et_constant1.sv et_constant2.sv et_constant3.sv et_constant4.sv et_constant5.sv et_scopeandlifetime1.sv et_scopeandlifetime2.sv et_scopeandlifetime3.sv et_scopeandlifetime4.sv et_scopeandlifetime6.sv et_typeoperator1.sv et_typeoperator3.sv et_typeoperator4.sv should shows an error as per the lrm but having some problems in that fixed - in some cases syntax issues. closed
178 2024-03-22 Technical ../testcase/review/data_types/data_types39.sv error - unexpected output ../testcase/review/data_types/data_types40.sv error - an error should be generated for illegal use of operator ../testcase/review/data_types/data_types42.sv error - unexpected output ../testcase/review/data_types/data_types44.sv error - function/ task itoa/ is not declared in the scope ../testcase/review/data_types/data_types48.sv error - it should display an error while using unknown format specifier ../testcase/review/data_types/data_types49.sv error - it should display 21.0000 as %f is used ../testcase/review/data_types/data_types61.sv error - an error should be generated as c and d both have value 8 ../testcase/review/data_types/data_types62.sv error - error due to the incorrect size in the declaration of bronze and gold ../testcase/review/data_types/data_types63.sv error - error due to insufficient bits in members ../testcase/review/data_types/data_types65.sv error - error due to duplicate values ../testcase/review/data_types/data_types68.sv error - Incompatible enum assignment. Must use static cast. ../testcase/review/data_types/data_types69.sv error - error due to out of range value in enums ../testcase/review/data_types/data_types77.sv error - cannot declare any data type inside enums ../testcase/review/data_types/data_types84.sv error - real not casted to bits ../testcase/review/data_types/data_types91.sv error - casting of int to string not possible ../testcase/review/data_types/data_types92.sv error - unexpected output ../testcase/review/data_types/data_types93.sv error - casting of int to string not possible ../testcase/review/data_types/data_types94.sv error - unexpected output fixed - in some cases syntax issues. closed
179 2024-03-26 Technical ../testcase/review1/data_types/data_types99.sv error - segmentation fault ../testcase/review1/data_types/data_types104.sv error - an error or warning should be generated ../testcase/review1/data_types/data_types105.sv error - an error or warning should be generated ../testcase/review1/data_types/data_types106.sv error - an error or warning should be generated fixed - in some cases syntax issues. closed
180 2024-03-28 Technical ../testcase/review1/aggregate_data_types/aggregate5.sv error - an error should be generated for assign data type in packed array ../testcase/review1/aggregate_data_types/aggregate10.sv error - unexpected output ../testcase/review1/aggregate_data_types/aggregate14.sv error - error for out of bound assignment ../testcase/review1/aggregate_data_types/aggregate16.sv error - error for out of bound assignment ../testcase/review1/aggregate_data_types/aggregate18.sv error - error for out of bound assignment ../testcase/review1/aggregate_data_types/aggregate23.sv error - error due to illegal declaration ../testcase/review1/aggregate_data_types/aggregate24.sv error - error for out of bound assignment ../testcase/review1/aggregate_data_types/aggregate27.sv error - error for negative assignment ../testcase/review1/aggregate_data_types/aggregate30.sv error - unexpected output ../testcase/review1/aggregate_data_types/aggregate38.sv error - error for reading invalid index ../testcase/review1/aggregate_data_types/aggregate39.sv error - error for writing in invalid index ../testcase/review1/aggregate_data_types/aggregate40.sv error - error for accessing non-existing array element ../testcase/review1/aggregate_data_types/aggregate43.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggregate44.sv error - cannot find function/task q ../testcase/review1/aggregate_data_types/aggregate45.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggregate58.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggregate59.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggergate63.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggergate64.sv error - issue found, testcase not worked as expected ../testcase/review1/aggregate_data_types/aggergate65.sv error - issue found, testcase not worked as expected fixed - in some cases syntax issues. closed
181 2024-03-29 Technical location of all the testcases will be ../testcase/review1/aggregate/ with an issue of proper error generation and display the unexpected results. the testcases with a failure is named as et_structure8.sv et_structure10.sv et_structure11.sv et_structure12.sv et_structure13.sv et_union5.sv et_union9.sv fixed closed
182 2024-03-29 Technical testcases location will be ../testcase/review1/classes/ testcases not working propoerly named as et_class33.sv et_class34.sv et_class35.sv et_class38.sv et_class40.sv fixed closed
183 2024-04-02 Technical ../testcase/review1/class/class3.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class15.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class18.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class21.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class24.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class25.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class41.sv error - issue found, testcase not worked as expected fixed - in some cases syntax issues. closed
184 2024-04-03 Technical location is ../testcase/review1/classes/ problematic testcase named as et_class45.sv et_class47.sv et_class48.sv et_class49.sv et_class51.sv et_class52.sv et_class53.sv et_class54.sv et_class55.sv et_class56.sv fixed closed
185 2024-04-03 Technical location is ../testcase/review1/classes/ testcases named has having error are et_class62.sv class54.sv class55.sv class56.sv et_class85.sv et_class88.sv et_class90.sv fixed closed
186 2024-04-11 Technical ../testcase/review1/class/class54.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class55.sv error - issue found, testcase not worked as expected ../testcase/review1/class/class56.sv error - issue found, testcase not worked as expected fixed closed
187 2024-04-30 Technical ../testcase/review1/process/et_process3.sv error - error for illegal clock declaration ../testcase/review1/process/et_process4.sv error - no always or initial block after final block ../testcase/review1/process/et_process12.sv error - error for illegal clock edge ../testcase/review1/process/process15.sv error - hello world should be displayed as condition is true at begining ../testcase/review1/process/et_process35.sv error - error for no clock with always_ff ../testcase/review1/process/et_process39.sv error - no procedural block after final block ../testcase/review1/process/process44.sv error - error for declaration of variable inside begin end ../testcase/review1/process/process61.sv error - no label before end ../testcase/review1/process/process62.sv error - no label before end ../testcase/review1/process/process63.sv error - no label before join ../testcase/review1/process/process64.sv error - no label before join_any ../testcase/review1/process/process65.sv error - no label before join_none ../testcase/review1/process/process75.sv error - unexpected token forever ../testcase/review1/process/process76.sv error - should display 8 as both events are triggerred ../testcase/review1/process/process83.sv error - delay is proper but nothing displayed ../testcase/review1/process/process85.sv error - unexpected token and ../testcase/review1/process/process101.sv error - declaration of var/object not allowed ../testcase/review1/process/process106.sv error - segmentation fault(core dumped) ../testcase/review1/process/et_process108.sv error - error for resuming already killed process ../testcase/review1/process/et_process109.sv error - error for suspending already killed process ../testcase/review1/process/et_process110.sv error - error for awaiting already killed process ../testcase/review1/process/process112.sv error - unexpected output Unsolved Open
188 2024-04-30 Technical ../testcase/review1/process/process90.sv error - unexpected token wait_order (not implemented) Unsolved Open
189 2024-05-01 Technical et_assignment6.sv error- not working as expected et_assignment10.sv error- not working as expected et_assignment15.sv error- not working as expected assignment21.sv error- not working as expected assignment22.sv error- not working as expected assignment23.sv error- not working as expected location of testcases :- /home/adityavs/svn/svnrepo/adityavs/Testcases/review1/assignmentstatement Unsolved Open